![post-title](https://i.ytimg.com/vi/_RsaNzZFuUU/hqdefault.jpg)
verilog parameter宣告 在 コバにゃんチャンネル Youtube 的精選貼文
![post-title](https://i.ytimg.com/vi/_RsaNzZFuUU/hqdefault.jpg)
Search
Verilog parameter 或字面常數(literal constant, 如數字1, 2, 3, . ... endmodule 但如果你把a[w*i+j]的w宣告成integer,Design Compiler就不接受了。 ... <看更多>
不、應該說是機器語言程式),然後用Verilog 實作一個可以執行這些指令的CPU,這個 ... 支援4 個指令 reg signed [31:0] R [0:15]; // 宣告暫存器R[0..15] 等16 個32 位 ... ... <看更多>
2.1 parameter. parameter在模組中聲明後,後續編譯時還可以被重新宣告的值所覆蓋。 parameter msb ...
#2. (原創) 如何使用參數式模組? (SOC) (Verilog) (C/C++) (template)
parameter宣告 ,即為Verilog的參數式寫法,之後接的是預設值,如此一來,counter的大小,從什麼數字開始數,數到哪個數字停止都不在是寫死在程式裡。
#3. VERILOG的parameter的写法_USB_ABC的博客
这是模块定义时的paramter的写法,有二个参数H_DISP, V_DISP, 初始化定义为二个值。module vga_display#( parameter H_DISP = 10'd640, parameter ...
#4. Verilog 資料型態| Verilog HDL 教學講義 - hom-wang
Ch2 - Verilog 資料型態. 2.1 資料狀態 ... 宣告*/ output [3:0] A; // A為4bit,A[3],A[2],A[1],A[0] reg [0:3] B, C; ... 2.7 參數( parameter ).
如何開啟進入Verilog硬體描述語言編輯器. ▫ Verilog的描述格式 ... Module <模組名稱><模組輸入輸出埠宣告> ... parameter LGG=100;//宣告一常數LGG. 等於100.
每個Verilog程式都包括4個主要部分:埠定義、I/O說明、內部訊號宣告、功能 ... 在Verilog HDL中用 parameter 來定義一個符號常量,即定義一個識別符號 ...
#7. FPGA的設計藝術(12)使用parameter構建可重用的邏輯設計
當在這樣的verilog模組中宣告引數時,我們稱其為引數化模組。 module <module_name> #( parameter <parameter_name> = <default_value> ) ( // Port ...
#8. Verilog模組中引數型變數可以再整個程式中使用嗎
比如在zhi每個module前呼叫引數文dao件`include define.v ,內或者容 ... 陣列verilog中允許宣告reg、integer、time、real、realtime及其向量型別的 ...
#9. Ch2_Verilog資料型態- 中原大學自控社 - Google Sites
自控社首頁 > 自控社教學區 > Verilog > ... 2.7 參數Parameter. 2.1 資料狀態 ... 宣告*/ output [3:0] A; // A為4bit,A[3],A[2],A[1],A[0]
parameter MASK = 3 ; reg [4-1:0] mem [0:(1<<4)-1] ; always @(posedge CLK) begin if (EN && WR) begin mem[A] <= D & MASK; end else if (EN && !WR) begin
#11. Verilog 基礎 - 陳鍾誠的網站
module <name> // 模組名稱parameter ... // 參數宣告port ... // 腳位宣告wire ... // 線宣告reg ... // 暫存器宣告initial begin // 初始化設定區 ...
#12. Vivado使用技巧(28):支持的Verilog语法 - 电子创新网赛灵 ...
Vivado综合对Verilog的支持可以用最有效的方式描述整体电路和各个模块。 ... 一个Verilog参数(parameter)就是一个常数(不支持字符串),且实例化 ...
#13. 一起幫忙解決難題,拯救IT 人的一天
參數parameter. 是一個宣告了就 無法更動的常數; 常常會用來指定 資料位寬(Width) 或是 狀態機的狀態值. EX: parameter width = 32; reg [width-1:0]a;//一個32bit的 ...
#14. Verilog 語法入門知識 - w3c菜鳥教程
reg宣告暫存器型別變數,如reg[0:3] my[0:63];是64個4位暫存器構成的儲存器。 ... parameter類似於const型別,是常量,與const的區別是可以在定義時不 ...
#15. FPGA:verilogHDL簡單小結_實用技巧 - 程式人生
verilog 模組主要由三部分組成:埠宣告A,內部訊號量宣告B,功能定義C; ... 其中reg、wire、interger、parameter為基本的四種資料型別;以下簡單說明 ...
#16. Verilog 語法教學
77. Important Coding Style Notes 通常Verilog 是用“ parameters” 來定義state encodings. 宣告一個current state 以及一個next state 在sequential always block 的通常 ...
#17. Verilog
編譯程式指引// 'include & 'define module module_name(port list);. Port 的宣告// input, output, inout. 變數資料型態宣告// wire, reg, … 引用較低階的模組.
#18. SystemVerilog - 維基百科,自由的百科全書
過去在Verilog中描述有限狀態機常常使用參數(關鍵字為 parameter ),而在SystemVerilog中,使用列舉則更為方便。 typedef enum logic [2:0] { RED, GREEN, BLUE, ...
#19. 單元名稱:數位系統-Verilog 語法參考頁1/130
第一章:輸出入埠的宣告-第一節:輸出入埠的宣告(input,output,inout) (第1頁). 第一章:輸出入埠的宣告- ... 第六章:參數/時間定義-第二節:parameter (第133頁).
#20. Verilog中,符號是什麼意思
“#”表示延時模型,parameter表示宣告一個引數。 推薦一個學習硬體設計的**,講得很清楚:. http://www.flxc.net/html/2011/vg_0906/38.html. verilog ...
#21. (筆記) Verilog module建議的coding style (SOC ... - 51CTO博客
Introduction. 以下是建議的coding style. 1 module 模組名稱. 2 parameter宣告. 3 port宣告. 4 wire,reg宣告. 5 assign資料處理層級之描述.
#22. verilog 作業補充說明
Homework – verilog補充說明 ... parameter DATA_SIZE = 10; ... port list 中哪些是input 哪些是output 要宣告,”load”對accumulator是一個input ,所以這邊要宣告
#23. Verilog學習筆記(2)結構及資料型別 - 程序員學院
verilog hdl中資料型別用來表示硬體電路的資料儲存和傳送元素的,總共有19種資料型別。其中reg型、wire型、integer型和parameter型是最常見的4種資料型別 ...
#24. 輸出入單元(I/O)
以下是用Verilog 宣告BUS 線路的三種方法,分別是wire, wand 與wor。 ... wire [n-1:0] BUS; parameter [n-1:0] disable = n'bZ; assign BUS = sel1?source1:disable; ...
#25. Data Types - HackMD
Value Set Verilog HDL 中會碰到的va. ... 宣告data type 的格式,如下圖所示。 ... //Port 宣告舊版語法 module Comparator(A, B, gt, lt, eq); parameter width = 3; ...
#26. Re: [問題] verilog array index表示的限制- 看板Electronics
Verilog parameter 或字面常數(literal constant, 如數字1, 2, 3, . ... endmodule 但如果你把a[w*i+j]的w宣告成integer,Design Compiler就不接受了。
#27. Verilog學習筆記基本語法篇(一)·········資料型別 - 程式前沿
Verilog 中共有19種資料型別。基本的四種型別: reg型、wire型、integer型、parameter型。其他型別:large型、medium型、small型、scalared型、time ...
#28. [Verilog 踩雷部隊] 上機考用整理筆記
Verilog 筆記結構. ... Module 宣告方式 ... input clk, input reset_n ); parameter IDLE = 2'b00; parameter S0 = 2'b01; parameter S1 = 2'b10; ...
#29. verilog parameter 陣列 - Mapapple
parameter宣告 ,即為Verilog的參數式寫法,之後接的是預設值,如此一來,counter的大小,從什麼數字開始數,數到哪個數字停止都不在是寫死在程式裡。
#30. Verilog永無止境 - 有解無憂
顧名思義,埠宣告就是宣告埠的型別,寬度等資訊,型別有三種. ... 有時候某些數字或字符需要多次使用,Verilog中可用關鍵字parameter來定義一個引數, ...
#31. verilog中3,4 表示什麼意思 - 多學網
module sub_module(clk,rst,....); parameter width=8;. parameter addr=5; ..... ...endmodule.
#32. 數位電路之後,verilog系列文3:寫一個module | Yodalee Note
inout宣告,行1~3:; Parameter 和reg, wire,行5~10:; Module,行11~13:; 電路設計,行14~28:; 註解:. 在上一篇裡面,我們談過了verilog 三大塊 ...
#33. 【學習】VERILOG 學習筆記:reg 宣告與延遲 - 河馬先生睡不著
endmodule. 修改後便可以正常compiler 了,再寫個test bench 去確認執行結果:. `define cycle 4 ...
#34. Chapter 5 Verilog 硬體描述語言- ppt download - SlidePlayer
7 Verilog模組描述的基本格式module <模組名稱><模組輸入輸出埠宣告> 模組四個層次的 ... 29 (10) 、參數(Parameters) 主要作用在設定一個固定常數,此常數可在每一次 ...
#35. [問題求助] 有關verilog 錯誤- FPGA/CPLD/ASIC討論區 - Chip123
|2 m; N* d9 Q1 p0 c/ G: t" ? ... # b0 |- B) ]5 [4 W0 ?5 ? ... parameter left=1;) v+ h/ q) p# j d+ w! }
#36. verilog中計算兩數相減的平方時要宣告成有符號數嗎 - 極客派
(2)verilog‐2001中增加了ansic風格的輸入輸出埠宣告,可以用於module,task和function。例子如下:. 向左轉|向右轉. (3)對於含有parameter ...
#37. verilog define 用法 - Halonbay
System Verilog 中define 的一種用法,見下面的例子: 定義簡單的function,使代碼變得簡潔 ... assign temp = 32'd100; 這邊我是先宣告了一個32-bit型態為wire的變數.
#38. FPGA 語法架構與基本概述| Mowen的程式小樹 - 點部落
Verilog 最重要的部分,負責描述模組的電路架構與功能 ... module 模組名稱parameter宣告port宣告wire,reg宣告initial begin // 初始化設定區塊 end ...
#39. hacker 0xff
[Verilog] `define 和parameter 的差別與使用時機 ... 如果一個電路包含三個子IP,這三個子IP 都吃同樣的parameter,如果這些IP 都有上述parameter 的宣告在code 內, ...
#40. Re: [問題] verilog array index表示的限制 - PTT Web
Verilog parameter 或字面常數(literal constant, 如數字1, 2, 3, . ... endmodule 但如果你把a[w*i+j]的w宣告成integer,Design Compiler就不接受了。
#41. 使用Verilog的基本概念 - 百度文库
Parameter signed [15:0] WIDTH; //宣告一個參數WIDTH為一個十六位元有號數。 在第九章中,我們將會看到利用defparam的方式,在模組宣告的時候變化模組 ...
#42. FPGA重點整理 - clementyan 筆記分享
使用參數:大寫表示常數,小寫表示信號變數; [大:小]; Verilog語法中忽略空格tab ... integer 整數parameter 參數; always內之輸出變數必用reg宣告 ...
#43. Verilog - 台灣公司行號
2012年4月5日- module <name> // 模組名稱parameter ... // 參數宣告port ... // 腳位宣告wire ... // 線宣告reg ... // 暫存器宣告initial begin // 初始化設定區塊end ...
#44. (筆記) Verilog module建議的coding style (SOC ... - 极客分享
Introduction以下是建議的coding style1 module 模組名稱2 parameter宣告3 port宣告4 wire,reg宣告5 assign資料處理層級之描述67 引用較低階模組 ...
#45. (筆記) 如何在Linux上使用Verilog PLI? (SOC ... - CodeAntenna
Abstract之前討論的都是在Windows版的NC-Verilog執行VerilogPLI,若要在Linux版 ... Introduction以下是建議的codingstyle 1 module 模組名稱 2 parameter宣告 3 port ...
#46. 【筆記】Verilog Module - Infinite Loop
我們可以將模組看成兩個部份:連接埠(port)的宣告,以及模組的主體(body)。 其中,連接埠類似於程式語言中函式的參數(parameter),提供了對外 ...
#47. Verilog裡面如何初始化陣列才能被Quartus II綜
reg [7:0] conval[15:0]表示定義(或者叫宣告)了一個名為conval的儲存器,該儲存器一共包含16個暫存器,每個暫存器可以儲存一個8位的二進位制數。
#48. Verilog-2001新增特性 - 术之多
genvar为新增数据类型,存储正的integer。在generate语句中使用的index必须定义成genvar类型。localparam与parameter有些类似,不过其不能通过 ...
#49. Chapter 11 Verilog 硬體描述語言Verilog 硬體描述語言的基本 ...
4 Verilog 模組描述的基本格式Module < 模組名稱>< 模組輸入輸出埠宣告> 模組四 ... 此常數可在每一次編譯時更改其值parameter LGG=100;// 宣告一常數LGG 等於(11) 字 ...
#50. verilog always 語法 - QTQSB
但在跑Verilog 模擬時,always @(posedge clk) 區塊是以一種順序的方式執行,只是順序 ... (SOC) (Verilog) module 模組名稱parameter宣告port宣告wire,reg宣告initial ...
#51. Systemverilog interface/modport 簡介&使用方法 - Hayashi's ...
1interface SramInterface(); 2 parameter DATA_SIZE = 8; 3 parameter ADDR_SIZE = 4; ... verilog. 如以一來,便省去了大量宣告&接線的程式碼。
#52. 數位邏輯
VHDL 與Verilog HDL ... 在Verilog 電路描述中,識別字可用於定義變數名稱、函數名稱、模組名稱與物件 ... wire a; //宣告有一條接線叫做a; wire [15:0] data_bus;
#53. verilog for語法 - 軟體兄弟
module <name> // 模組名稱parameter ... // 參數宣告port ... // 腳位宣告wire ... // 線宣告reg ... // 暫存器宣告initial begin // 初始化設定區 ..., 參考文獻.
#54. 標籤: Verilog - 翻黃頁
2012年4月5日- module <name> // 模組名稱parameter ... // 參數宣告port ... // 腳位宣告wire ... // 線宣告reg ... // 暫存器宣告initial begin // 初始化設定區 ...
#55. (1)Verilog 語法基礎 - w3c學習教程
(1)四值邏輯系統:0、1、x(未知)、z(高阻態,沒有激勵訊號時懸空). (2)常用關鍵字:. input、output、inout / begin、end. wire、reg、parameter.
#56. Verilog | 工商筆記本
2012年4月5日- module <name> // 模組名稱parameter ... // 參數宣告port ... // 腳位宣告wire ... // 線宣告reg ... // 暫存器宣告initial begin // 初始化設定區 ...
#57. verilog 陣列變數Verilog語法之三:變數 - Tzpage
Verilog 數字電路現場可編輯邏輯門陣列(FPGA ) Verilog語法之三:變數07-14 本文首發於微信公眾號「花 ... VERILOG的parameter的寫法_USB_ABC的博客-CSDN博客.
#58. (筆記) 如何將值delay n個clock? (SOC) (Verilog) - 白红宇个人 ...
使用環境:NC-Verilog 5.4 + Debussy 5.4 + Quartus II 9.0 ... 不過這兩個寫法都有個問題,若要delay 10個clk,就得宣告10個reg,程式相當冗長,是否 ...
#59. Verilog Laboratory Exercise
`define dly_or ... 若使用Verilog-XL 執行simulation 的話,則使用以下指令: ... V. 提示2:您可宣告一Register Array(Memory Array)來代表Shift Register 架構。
#60. PPT - 第三章使用Verilog 的基本概念(Basic Concepts ...
Verilog 是由一串的標記(token) 組成,這些標記可能是註解(Comments) ... Parameter signed [15:0] WIDTH; //宣告一個參數WIDTH為一個十六位元有號 ...
#61. Verilog | PDF - Scribd
3.1 模組架構編譯程式指引// 'include & 'define module module_name(port list); Port 的宣告// ... 輸出入埠的宣告• Verilog 的輸出入埠宣告包括: – 輸入埠(input)
#62. Verilog簡介
Verilog 簡介. 傅仁弘. 電機系碩士班一年級 ... Test bench不用宣告port output input. 選擇要測試的 ... parameter A=3'b000, B=3'b001, C=3'b010;.
#63. 再說System Verilog 與Verilog 的關係 - 愛伊米
在Verilog中可以透過宣告一個有足夠多位元位的變數來保持所有這些狀態,然後為每個狀態設定一個引數值(parameter)來代替列舉型別的結構。
#64. VerilogWeek1.ppt - Digital Design by Verilog for FPGA(1...
Verilog Coding 模組(module): Verilog 的基本元件 模組宣告 語法 資料型 ... Verilog Coding-模組module <Name> <I/O> //module name and port list;parameter .
#65. verilog define用法 - Merisa
SystemVerilog 中define的一種用法,見下面的例子: 定義簡單的function,使代碼變得簡潔 ... assign temp = 32'd100; 這邊我是先宣告了一個32-bit型態為wire的變數.
#66. 開放電腦計畫(4) – CPU0mc 處理器
不、應該說是機器語言程式),然後用Verilog 實作一個可以執行這些指令的CPU,這個 ... 支援4 個指令 reg signed [31:0] R [0:15]; // 宣告暫存器R[0..15] 等16 個32 位 ...
#67. Verilog Parameters - ChipVerify
Parameters are Verilog constructs that allow a module to be reused with a different specification. For example, a 4-bit adder can be parameterized to accept ...
#68. Logic Design Lab 邏輯設計實驗
Michael D. Ciletti, “Advanced Digital Design with the Verilog ... IC Function and Parameters. ▫ Function. ▫ TTL series ... signal 宣告 assign …… assign …
#69. SystemVerilog_2下载_PPT模板 - 爱问共享资料
nullSystemVerilog介紹SystemVerilog介紹報告人鄭智鴻B9729028 ... 可指定其資料型態註parameter的用途是宣告一個固定的常數SystemVerilog與Verilog的 ...
#70. Lab_5 硬體描述語言Verilog - alex9ufo 聰明人求知心切
功能模擬(Function Simulation)及電路的測試碼(Verilog Test Drive) ... 第一種方式,當我們使用關鍵字assign來做指描述時,我們要先宣告它所指定 ...
#71. 转:【FPGA】verilog语法规则 - 与非网
4) 在verilog中内定的为WIRE,因此若在端与端的宣告中只有告input,output,inout, ... 1. parameter,用于定义一个常数,对于可扩展的模组非常好用.
#72. 一種連結電路路由器之可構形設計的軟體實作 - 國立中山大學
onto design parameters of various composed module units and perform the ... 圖4.12 包含反壓功能nxn 路由器的verilog code 示意圖. …………..43 ...
#73. veriloga 的Bjt如何使用 ? 那可以宣告npn pnp - EETOP
verilog a 的Bjt如何使用 ? 那可以宣告npn ... exp(`max_arg); end endfunction //Ordering of parameters is basically the same as SPICE parameter ...
#74. 求職攻略| 關於Verilog的10道判斷題 - 有備資訊
因為Verilog語言是區分大小寫的,所以Verilog推薦的設計規範中一般對引數的變數宣告、文字宏通常用大寫字母表示,引數化的一般都是常量,大寫後方便與 ...
#75. 國立中央大學
數(parameters)等等。整體模組範圍則包含了區域變數(local variable)與電路行為. 的描述。Verilog-A 的語法大致上與Verilog 很相似,尤其是在介面宣告部分。主.
#76. 2010 IC 設計比賽初賽(A組)
New SET parameter pulse width, active between positive edges of clk. = Tcycle ... 其Verilog 模組名稱、輸出/入埠宣告如下所示︰ module SET (clk ,rst, ...
#77. endmodule - StudyLib
... Using Compiler Controls Verilog Operators Behavioral Modeling Support for ... `include “common.txt” …. endmodule //common.txt parameter initial_clock=1; ...
#78. verilog语法规则个人总结- eeleader的日志 - 电子工程世界
4) 在verilog中内定的为WIRE,因此若在端与端的宣告中只有告input,output,inout, ... 1. parameter,用于定义一个常数,对于可扩展的模组非常好用.
#79. float point @ verilog - learning plus
Propose : 在Verilog 中, 要如何計算"浮點數"跟"負數"乘法運算可以 ... module FLOAT( ot_c, in_a ); parameter WIDTH = 8; output [WIDTH-1 : 0 ] ...
#80. HDLBits:在线学习Verilog (九· Problem 40 - 44) - 知乎专栏
//创建一个2进制转换器 Module gray2bin #(parameter SIZE = 8) ( input [SIZE-1:0] gray, output [SIZE-1:0] bin ) Genvar gi; //在generate语句中采用 ...
#81. verilog中的reg型變數請教
end把flag賦值回為0很重要,不然邏輯有問題。 用3段狀態機寫就是. parameter idle = 2'h0;. parameter wait_b_pos = 2'h1;.
#82. verilog assign判斷 - Yrcd
2 2013-08-29 verilog表达式的数据类型15 2012-11-06 verilog中parameter可以比较大小 ... 這邊我是先宣告了一個32-bit型態為wire的變數指定一個32-bit且為.
#83. verilog 語法Chapter - Pablodiaz
[Day3]verilog 基本宣告今天開始的幾天,與C實質不同。 Verilog代碼對應硬件實體。比如在Verilog里寫的a+b,tril型,剩下一些遺留的問題,具體而言: 1 verilog的程式碼 ...
#84. verilog define 用法【實戰】verilog中`define的使用記錄 - Enhti
2 高級語法— Verilog Coding Style documentation ... 使用Verilog的基本概念中之宣告。'include header.v include的用法36 •Verilog提供有用的系統任務:資訊顯示,資訊監視 ...
#85. verilog 語法Verilog語法之十二:系統函數和任務 - LHLV
Verilog 語法介紹,在使用前必須先宣告暫存器的位元數大小與數量,在此將介紹幾個常用的定義方式。 ... Verilog HDL行為模型的parameter敘述編寫應用—- [範例01] @ …
#86. Verilog HDL行為模型的parameter敘述編寫應用---- [範例01]
SD工作室Verilog 教學eBOOK (Taiwan Version:
#87. verilog include 用法– verilog module - Emirates
一、Verilog语法,parameter、localparam、`define的区别 ... line,31這邊就是在宣告你的module,有點像是include的概念,所以這邊我宣告一個adder我要測試的module名稱 ...
#88. FPGA与Verilog学习手记非常重要讲述.docx - 原创力文档
经验: ??? 每个状态机作为一个独立的Verilog模块??? 对状态进行预定义,状态赋值使用状态名作参数,不要使用`define,多使用parameter ??
#89. 5.3 Verilog 帶參數例化- defparam - it編輯入門教程
parameter DW = 4 ; reg clk ; reg [AW:0] a ; reg [DW-1:0] d ; reg en ; reg wr ; wire [DW-1:0] q ; //clock generating always begin #15 ; clk = 0 ;
#90. 电商税呼之欲出,最高可按45%补税 - 新闻时间
而在最近两位电商主播因逃税被税务部门通告后,事实上已经宣告了针对电商查税和补税的工作已经展开了! 电商该不该交税已不是问题,.
#91. Verilog中的parameter - 台部落
概述在Verilog中我們常常會遇到要將一個常量(算法中的某個參數)賦給很多 ... module parameters有parameter和localparam兩種,它們所代表的值都可在 ...
#92. Parameter array in Verilog - Stack Overflow
The given example is assigning unpacked values to packed parameter array. This in not allowed with Verilog. Verilog only support simple ...
#93. Verilog中參數傳遞與參數定義- 碼上快樂
符號常的定義用parameter來定義一個標志符代表一個常量,稱作符號常量,他可以提高程序的可讀性和可維護性。 parameter是參數型數據的關鍵字, ...
#94. Verilog的常数的声明(parameter和define) - 360doc个人图书馆
Verilog 的常数的声明(parameter和define). 1、语法 声明: parameter xx = yy; `define XX YY 使用: xx `XX 2、作用域 parameter 作用于声明的那个 ...
verilog parameter宣告 在 Re: [問題] verilog array index表示的限制- 看板Electronics 的推薦與評價
※ 引述《bjk (Up2u)》之銘言:
: 發現好像index有兩個限制,導致不能合成
: 1.for的終止條件不可以是變數
: for(i=0;i<temp;i=i+1)
: array[i]...
: temp必須是常數
: 2.不可以是兩個變數相加
: array[i+j];
: 請問是這樣嗎?
大多數合成器對loop的支援的要求是要有"固定"的iteration次數,
我還沒看過支援不固定次數的。
"不固定次數"很容易出問題,例如用loop generate產生邏輯閘
以目前技術不行(可能是不太實用,沒人做)。
目前做不到一顆IC,比如某個時間點有三個邏輯閘、下個時間點有五個邏輯閘。
但FPGA是最近似可達成這目的的東西,例如它一下可以是一個FFT電路,
重新configure後可以是個CORDIC...
另外要知道Verilog裡for loop有兩種。
一種是generate裡的for loop,
在Verilog標準文件是一種叫作"loop generate"的東西。
另一種是always+sequential block的for loop(我不知道有沒有正式名稱)。
我先講loop generate的"固定"iteration次數:
這裡所謂的"固定"是指在合成器有一個elaboration階段必須是常數值,
就可以合成。
Verilog parameter或字面常數(literal constant, 如數字1, 2, 3, ...)
就是在elaboration時是固定下來的數值,
它與常數值就適合決定for loop的iteration次數。
如下SystemVerilog的範例,已確認Design Compiler 2012.06可合成:
module m
#(
parameter w = 2
)
(
output [w*2-1:0] a,
input [w-1:0][w-1:0] c
);
genvar i, j;
generate
for(i = 0; i < w; i = i+1) begin: s1
for(j = 0; j < w; j = j+1) begin: s2
assign a[w*i+j] = c[j][i];
end
end
endgenerate
endmodule
但如果你把a[w*i+j]的w宣告成integer,Design Compiler就不接受了。
宣告成wire也不行,即使它被assign常數。
這是因為wire與integer在elaboration都還沒初始化,所以不算常數值。
至於always+sqeuential block的for loop則
用parameter、wire、integer或literal constants都可以。
不過這樣看來parameter、literal constants比較通用,
所以不建議使用wire、integer constants。
--
楞嚴咒(附注音):
https://skydrive.live.com/?cid=87cef5e6683b5427&sc=documents&id=87CEF5E6683B5427%21312#
縮址:
https://bit.ly/r3bgEo
--
※ 發信站: 批踢踢實業坊(ptt.cc)
◆ From: 118.161.190.107
... <看更多>