【如果你當不成教父的兒子,你可能會成為教父本人:勞勃狄尼洛的那些《教父》日子】
很難想像,勞勃狄尼洛也有過猶豫不定的時候,畢竟他在銀幕上下都維持一貫冷硬威嚴的形象。
但是在剛開始演戲時, 狄尼洛連自己的名字該寫成「De Niro」或是「DeNiro」都拿不定主意。而當他有機會參與電影《教父》的選角甄試時,這種不安的浮躁感,在法蘭西斯柯波拉的鏡頭下,顯露無疑。
我們都知道,狄尼洛演出了「教父續集」《教父 II》而奪得了奧斯卡「最佳男配角」獎,劇中他飾演年輕的維托柯里昂。在這部同時包含《教父》過去與未來故事的續集電影裡,描述了年輕的維托一步步從街坊領袖成為幫派老大的經過。
但事實上,狄尼洛早在 1971 年初原本就有機會演出《教父》電影,所以反過來說,如果他真的演出了第一部《教父》,那他也不太可能繼續演出《教父 II》,就更不可能拿到一座奧斯卡了──得獎當年他才 31 歲,真正是意氣風發。
原本,選角導演其實已經希望狄尼洛飾演保利 (Paulie Gatto),因此他不需要面試也能拿到角色了。
保利是劇中克萊蒙沙的手下,克萊蒙沙坐著就像一座山,笑起來時臉頰肉幾乎要黏到眉頭,義大利男人都會煮菜,克萊蒙沙特別會做菜(人家問他在煮什麼時,他都會回答「讚的啦!」,他甚至還在劇中教大家料理肉丸訣竅),
而且克萊蒙沙還很會做蕃茄醬──他會一言不合就把人做成蕃茄醬。
當麥克要赴那場鴻門宴時,是克萊蒙沙教他如何開槍、開完槍如何丟槍、如何在眾目睽睽下不動聲色緩步走出餐廳──誰都看得出來,克萊蒙沙是個忠心、和善又殘忍至極的匪徒。
所以當他知道自己的一把手保利,竟然是謀反柯里昂家的主嫌之一時,下手幹掉保利的人就只能是他自己。
對的,保利不像他老闆那樣對柯里昂家忠心奉侍,而狄尼洛應該要演出這個反骨仔。
演叛徒不是什麼問題,問題是保利的戲份其實不多,也不像克萊蒙沙那麼複雜,簡單地說,在這部一群青壯演員合作的電影裡,自己就像個跑龍套。
而不想只當龍套的狄尼洛,真正想演的角色是……
【正文請見下方留言連結】
同時也有5部Youtube影片,追蹤數超過6萬的網紅高松傑高Sir正能量,也在其Youtube影片中提到,【陰謀論】刻意安排周庭612出獄 ?禍從口出 拜登派人掌摑馬克龍 ? 香港小姐2021 佳麗勇氣可嘉 ? 成功爭取港府改制禁港獨電影上映! 港台亂象完結 下步商台? |高Sir正能量11062021 港台人事進出有問題? http://www.takungpao.com/opinion/23311...
「安謀面試」的推薦目錄:
- 關於安謀面試 在 龍貓大王通信 Facebook 的最讚貼文
- 關於安謀面試 在 一頁華爾滋 Let Me Sing You A Waltz Facebook 的精選貼文
- 關於安謀面試 在 Facebook 的最讚貼文
- 關於安謀面試 在 高松傑高Sir正能量 Youtube 的精選貼文
- 關於安謀面試 在 鄭匡宇激勵達人 Youtube 的最佳解答
- 關於安謀面試 在 暗網仔出街 Youtube 的最讚貼文
- 關於安謀面試 在 [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的評價
- 關於安謀面試 在 Re: [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的評價
- 關於安謀面試 在 Re: [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的評價
- 關於安謀面試 在 Re: [心得] 美國ARM(安謀) AE面試心得分享 - PTT 的評價
- 關於安謀面試 在 [新聞] 安謀感嘆:在台研發中心人才難找- Tech_Job - MYPTT 的評價
- 關於安謀面試 在 arm安謀薪資的推薦與評價,YOUTUBE和網紅們這樣回答 的評價
- 關於安謀面試 在 科技業的薪水- 科技業板 - Dcard 的評價
- 關於安謀面試 在 2022arm面試ptt-網路上旅遊資訊分享,精選在Dcard ... - 行程規劃 的評價
- 關於安謀面試 在 2022arm面試ptt-網路上旅遊資訊分享,精選在Dcard ... - 行程規劃 的評價
- 關於安謀面試 在 【曲博彩虹頻道Ep.32】 想進台積電面試?看這部就對了! 的評價
安謀面試 在 一頁華爾滋 Let Me Sing You A Waltz Facebook 的精選貼文
每次一不留神,新的書就一直疊上來不及消化的書堆裡,大概是之前被七月選書《樹冠上》消耗過多精氣神(https://bit.ly/3j22BJr),而這排約莫落在七月中到八月中入手的書籍,先稍微做個紀錄,因為下個月的書單相當豪華,類型遍及電影、音樂、系列小說等等,大概需要先把額度留下來,九月真的非常值得期待。
⠀⠀⠀⠀⠀
這個月的選書並沒有特別獨立出來分享,因為是新版重出,這本在我多年前首度讀到的時候就頗為喜愛,為蕭麗紅的代表作《#千江有水千江月》,而此次恰好是四十周年紀念版本,希望能好好地為這本書寫篇文章。故事以布袋的蕭姓大家族為中心,敘述傳統大家庭的習俗和人情瑣碎,並探討生死、親情、愛情的衝突與矛盾。生於世代養殖漁業維生的大家庭,主角貞觀巧遇表哥大信,開始了一場若有似無的愛戀。這段戀情直到貞觀上臺北工作,大信到金門當兵,兩人間的情愫也因誤解而產生令人唏噓的變化。在蕭麗紅的內容敘述中,充滿了臺灣民俗的瑰麗與趣味,而貞觀與大信古典又含蓄的戀情,為臺灣逐漸失去的純然戀歌,悠悠地低吟了一遍。
⠀⠀⠀⠀⠀
接下來是掛名推薦的兩本,一本為早已提過多次,正在進行贈書活動的馬修麥康納回憶錄《#綠燈》,50 歲的他,花了 42 年的時間釐清自己生命中的謎題,35 年的時間記錄下解答的線索,他想要知道如何當個好人,如何得到想要的東西。如何使生命得到意義,如何更成就自我。他寫下日記,記錄他的成功與悲傷,理解與回憶。他說他書寫,是為了遺忘。敘述該如何在充斥「不」的世界中得到更多「好」,以及如何認出可能是「不」的「好」,關於抓住綠燈、並理解人生中的黃燈與紅燈遲早會轉綠的書。
⠀⠀⠀⠀⠀
再者,也已提前分享過的電影《#火口的二人》白石一文原著小說,故事敘述十天後將舉行婚禮的直子回到家鄉福岡,來到昔日戀人賢治面前。多年後再重逢,沒有一聲問候,也沒有一句質問,只是如昨日才剛分別一般,平淡中透著一股熟悉地拉開序幕。在二人熟悉的老家中,直子翻起一本舊相簿,裡頭盡是她與賢治一絲不掛的黑白性愛照。一頁一頁,往事的物證翩然眼前,那張如回憶之鑰的富士山火山口海報也隨之乍現,直子不禁脫口說出:「我最喜歡這張照片了。」二人之間曾不顧一切燃燒的火焰,在即將被宣判死刑的愛情面前再度復甦。等待直子未婚夫歸來的倒數五天,二人似末日到來般無法無天的徹夜歡合,如乾柴烈火覆水難收。肉體的歡愉、禁忌的血緣、沒有未來的放肆,在愛情與生命都將終結之前,二人最後看見的風景,名叫 —— 活著。
⠀⠀⠀⠀⠀
另一本為曾寶儀《#一期一會的生命禮物》,透過她真實經歷的四段旅程,在阿姆斯特丹,見到第一位合法執行安樂死的醫生,他說死亡為什麼不能是一場盛宴?在瑞士,和 104 歲的人瑞擁抱,她開始不斷與七年前的自己對話在洛杉磯,見到「Hamony」,世界第一具 AI 人工智能性愛機器人。在美墨邊界,很多人看見了暴力,分離,界線,隔離,但對這裡也有愛,勇氣和希望。在聖地牙哥,一場「長生不老大會」激情展開,那是令人完全無法想像的未來世界。這本書希望是一趟思考的旅程,更靠近真實自我的旅程,直視生命的旅程,以及全然自由的旅程,當旅程結束,你也有了一份屬於自己一期一會的生命禮物。
⠀⠀⠀⠀⠀
此次先介紹三本華文作品,若要選一部個人最喜愛的本土劇集,無疑是現在正在播出第二季的《俗女養成記》,而原作者江鵝也推出了另一本散文集《#俗女日常》,有別於上一本以在傳統中藥店家庭養成的台南女兒故事為主軸,最新文集不改幽默詼諧本色,卻更多聚焦於成長後在台北打拚的都會熟女人生。江鵝曾在訪談中表示,她跟「陳嘉玲」最大的共同點,是中年對生活各面向的反思,還有勇敢離開職場,做一個普通女人。這本《俗女日常》,也可說是這位勇敢的「普通女人」醒悟後學著做自己的第一手實驗記錄。回到俗女的日常,說普通也不普通,玲瓏通透的智慧和文采,清爽的文字挾帶著不可言說的魔力,既療癒又提點,不只讓同世代的六年級女生心有戚戚,五至七年級的都會女子讀來應該也都會覺得毫不高深,卻又如獲天啟。
⠀⠀⠀⠀⠀
其次為,胡晴舫主編的《#我臺北我街道》,集合不同世代、不同背景的二十位作家的全新創作,他們各自以詩、散文、小說書寫記憶中的台北街道。小說與詩提煉出意象,散文貼近個人記憶與生活,不同文體、長度產生不同的閱讀效果,就像散步每一條街道感受到各自獨特的氛圍。焦元溥寫消失的羅斯福路唱片行,馬世芳寫來不及參與的麥田咖啡館,張亦絢寫她的木柵路,崔舜華寫她的潮州街,吳鈞堯寫他的重慶南路,何致和寫永遠在他心底流動的西藏路,馬欣寫敦化南路的前世今生,王盛弘、羅毓嘉在這座城市私藏了他們的男孩記憶,郝譽翔在椰林大道度過了她的憂傷青春,以曲折方式學會在台北安置自己的馬翊航、王聰威,更有陸穎魚在台北街頭思念香港,顏訥在往生者帶領下探索她不知道的台北,陳雨航在文章不斷叩問,自己是否是台北人?而被言淑夏形容為春天繼母的台北街道,也有楊佳嫻的 236 公車呼嘯而過,裡頭住有陳宛茜認識的清朝耆老、陳又津描寫的公娼,以及駱以軍眼中身懷內力的各路高人。除了作家視角,更有來自法國的攝影師余白,用鏡頭拍攝他安身立命這麼久了的第二個家。閱讀他們的台北,讓我們更深刻了解這座城市。
⠀⠀⠀⠀⠀
第三本是兼具編劇與作家身分的劉梓潔新書《#希望你也在這裡》,她以這部小說,揭開路上的迷人和迷惘,熱鬧和寂寞。對生命的種種疑問,也許那些錯身而過的風景就是解答。有些人是天生的流浪者,有些人在飽嘗人的來去後才終於能夠出發,也有的人不知道前方有什麼,卻越走越寬廣。生命際遇各自不同的四個靈魂,在人生這張地圖上交錯前行,彼此纏繞又轉瞬消逝。面對旅途中的每個岔路,他們會做出什麼選擇?當漫遊變成探索,漂浪轉為追尋,旅程的盡頭,會有他們想要找的那個人,或者答案嗎?旅人歸來回首一望,種種混亂都已經過去了,一切才剛開始而已。
⠀⠀⠀⠀⠀
此次翻譯小說特別想讀的,有《林肯在中陰》作者喬治桑德斯小巧精美的《#狐狸小八》,他回歸短篇小說場域,加上喬西卡迪諾的插畫,有著喬治歐威爾式荒謬的情節設定,狐狸寫給人類的一封信,卻真實地切中人與自然日益惡化的衝突。不過,桑德斯始終相信本質上是道德的,可以引導我們更好地去愛。只要記得,整個故事的敘事者是隻小狐狸,他嘗試學習語言與「人累」溝通,我們生而為人可以更溫柔地對待自然嗎?人類該如何回覆狐狸小八?闔起書本看著書封,不難發現作者虔心期許眾生的良善、平等與和諧。
⠀⠀⠀⠀⠀
以及另一本同樣短小精悍的作品,西班牙作家胡利歐亞馬薩雷斯寫於 1988 年的《#黃雨》,被譽為是歐洲二十世紀文學的顛峰之作。在庇里牛斯山區一座荒廢的小村艾涅爾,1970 年代,那裡完全廢村。但屋舍仍矗立原地,在靜謐中、遺忘中,在冬雪中緩慢腐朽。老人安德烈斯是廢村僅存的最後一位居民。在寂靜和冬雪的包圍下,在悲涼和屋舍的廢墟之間。嚴冬漫長的十二月夜裡,只剩他孤零零一個人在艾涅爾度過黑夜。他喃喃念起逝者的眼眸、盤根錯節的回憶,那些死寂佔據破落凋殞裡的清醒與夢囈。這座村莊是真實存在的。瀰漫全書的孤獨、絕望、夢境與回憶,難以抵抗的時間年輪,深入存在的虛無,內心的孤絕、無力,理智和瘋狂。訴說時代如何遺棄鄉村,留予靜謐大地深沉的平靜。黃雨洗去一切,沒有人被銘記。
⠀⠀⠀⠀⠀
還有等待許久的《魔戒》托爾金中土三大傳奇最終章《#剛多林的陷落》,繼《貝倫與露西恩》、《胡林的子女》之後,見證了第一紀元走向終結。這三大傳說既是《魔戒》那宏大背景的組成元素,更是《精靈寶鑽》必不可少的基石。講述人類圖奧在剛多林的旅居,包括他與精靈伊綴爾的結合、兒子埃雅仁迪爾的出生、部臣邁格林的背叛、隱匿之城的陷落和諾多族倖存者逃亡的經過。這個故事在托爾金想像的中土世界觀第一紀元中占舉足輕重之意義。遺憾的是,托爾金平生寫出的完整版本便僅止於那創作於青年時期的文稿,他雖曾著手重寫,但並未完成全稿。透過其子克里斯多福托爾金的整理,此書得以面世。
⠀⠀⠀⠀⠀
當然,克蘇魯的話題還繼續延燒,《#夢尋祕境卡達斯:H.P. Lovecraft 幻夢境小說傑作選》仍不容錯過。《幻夢境小說傑作選》,是由一連串異次元空間幻夢境的相關故事所組成,收錄的十篇故事,以藍道夫.卡特的冒險事蹟作為主軸,再加上幻夢傳奇的重要篇章《奈亞拉索特普》、《烏撒之貓》與《末日降臨薩納斯》,和劇情看似獨立、卻對後續故事有重要連結的恐怖短篇經典《皮克曼的模特兒》,以及將上述元素串聯在一起的長篇故事《夢尋祕境卡達斯》。幻夢傳奇與克蘇魯神話最大的不同,就在於它偏向帶有微妙恐怖的奇幻故事,而非完全傾向克蘇魯神話深淵般的太空恐怖劇情。此外,洛夫克拉夫特在其他故事偶爾提及的諸多神祕地名(像是《死靈之書》中經常提及的冷之高原),也會在本書中完整呈現在讀者眼前,讓這個系列,與《克蘇魯的呼喚》相關故事息息相關,卻又展現出自己獨特的生命力。有趣的是,在這裡,作者本人的化身藍道夫卡特,會如同神話故事中的英雄,循序漸進,帶領讀者深入幻夢境,首尾相連,將各個段篇故事拼湊為一個完整的冒險歷程,打造出一個如同《魔戒》般,精彩刺激的傳奇故事。
⠀⠀⠀⠀⠀
同樣帶有奇幻色彩的療癒小說是《#歡迎光臨夢境百貨:您所訂購的夢已銷售一空》,這個百貨公司是睡著後才能入場,客人們入睡後,進入最受歡迎的「達樂古特夢境百貨」,挑選陳列在眼前的各色夢境:想再次相見的人、期待前往的地方、害怕又想突破的事物,以及超乎想像、上天下海的瑰麗夢境等等,每一層樓都提供別出心裁的夢。這棟五層樓的百貨門庭若市,有睡長覺的客人,也有短暫睡個午覺的人類和動物。新人佩妮通過了與達樂古特本人進行的一對一面試,來到夢境百貨上班,準備好好推銷各個傳奇製夢師所設計的夢。然而,當她好不容易稍稍搞懂測量睡意的「眼皮秤」、從「夢境滿意度」轉換的支付系統等細節後,竟然惹下大禍,最貴的夢境費用從她手上被偷走了。眼看工作即將不保,她該如何化解困境?在這神祕的夢境百貨,在清醒與睡夢的邊界,她又將遇見什麼樣的人,以及他們心中渴求已久的夢?
⠀⠀⠀⠀⠀
若注重推理閱讀樂趣的人,應該不容錯過這本暢銷小說《#喜鵲謀殺案》,當編輯蘇珊拿到艾倫康威最新作品的書稿時,她怎麼也不會想到這本小說即將改變她往後的一生。和這位暢銷推理作家合作多年,蘇珊對他筆下的偵探艾提克思彭德瞭若指掌。而艾提克思彭德系列是蘇珊任職的三葉草圖書出版最暢銷的書。為了工作蘇珊別無選擇,只能忍受艾倫種種惱人的行為舉止。在艾倫的新作中,艾提克思彭德來到派伊府邸,一座鄉村內的莊園調查一樁謀殺案。是的,其中有死屍和許多各懷鬼胎的嫌犯。然而隨著情節的推演,蘇珊不禁越來越懷疑,在這份書稿字裡行間隱藏著另一個故事:一個充斥著嫉妒、貪婪、冷酷的野心,以及謀殺的真實故事。
⠀⠀⠀⠀⠀
接下來兩本是與女性議題有關,首先是關於一場性侵案與一封陳述書,打動上千萬人,也改變了美國司法的花漾女子故事《#這是我的名字》。2015 年 1 月 17 日,香奈兒米勒遭到史丹佛大學生布羅克特納酒後性侵。隔天,香奈兒在醫院醒來,才得知自己成了被害人。她不再只是香奈兒,在法律上,她被賦予了一個新的匿名身分:無名艾蜜莉 Emily Doe。香奈兒與艾蜜莉各自過著不同的生活。香奈兒繼續上班、社交、過日子,但她開始失眠,還會在沒人看見的地方獨自流淚、崩潰。艾蜜莉活在一個封閉的世界,她活在法院、警局,活在證詞與質問當中。沒人知道艾蜜莉是誰。而布羅克,這位有望代表美國參加奧運泳賽的明日之星,在被捕後不到二十四小時,就以十五萬美金交保,重獲自由。2016 年 6 月,歷經將近一年半,審判結果終於出爐,布羅克僅遭判監禁六個月。數日後,網路媒體 BuzzFeed 刊登了無名艾蜜莉的法庭陳述書,四天內點閱率破 1100 萬,引爆全美輿論。無數人民站上街頭聲援艾蜜莉,時任美國副總統拜登也公開支持。2018 年 8 月,加州針對性侵罪修法,該案法官遭罷免,布羅克的上訴被駁回,史丹佛也將他退學。艾蜜莉獲得了遲來的正義。2019 年,艾蜜莉以本名出版此部自傳《這是我的名字》。
⠀⠀⠀⠀⠀
後者是被稱作少女版《使女的故事》的南韓作家尹異形《#兩封合格通知書》。女孩收到卵子評鑑合格通知書,根據最新生育法案,她必須在卵子迅速老化之前短短三個月內,進入生物資料庫尋找「準爸爸」,準備懷孕。如能順利生下小孩,將會獲得不愁吃穿的財富,簡直比進入理想大學更令人嚮往。自身亦是人母的韓國文壇怪物級新銳小說家尹異形,自道內心的女性主義者於江南站隨機殺人案之後被喚醒,對於女性遭輕視物化的現狀無比憤怒。本書虛構的駭人法案左右著青年的生涯抉擇,「生育」赤裸裸地淪為階級晉升的工具。擁有生育能力,難道就非得拯救人口危機不可?小說直指當今社會制度弊病,讀者驚嘆字字句句引人痛切共鳴。作者多次強烈表示,「不要繼承這個世界,不要繼承我們現在的生活。」無論是對自己生育的孩子,或是對這個世界的年輕世代,她都傳達了不得不把如斯醜陋世界交給下一代的歉疚感。她說自己的名字意思是「奇異的形狀」,特立獨行,敢於說出人所不能言。自從江南站隨機殺人案發生後,尹異形才真正意識到,自己所處的世界是多麼輕視女性、多麼獨尊父權意識。在數年後迅速席捲全球的 #MeToo 浪潮之下,她仍持續以書寫探索女性在和平狀態與受迫處境之下的各種不公體驗。
⠀⠀⠀⠀⠀
最後是,不知道該怎麼拍進去的金磊《#鯨豚記》,觀賞過紀錄片《男人與他的海》的觀眾對作者應該並不陌生,榮獲英國自然史博物館「年度野生動物攝影師大賽」民眾票選大獎、法國「P×3 巴黎國際攝影大賽」金牌 、美國「IPA 國際攝影獎」榮譽獎等國際攝影大獎殊榮,台灣首位水下鯨豚攝影師金磊,大學畢業後,來到花蓮成為海上解說志工,開啟他往後 20 年的鯨豚追尋之旅。從水面上的影像開始,為了熟悉活動於不同海域的鯨豚種類,金磊前往世界各地下水拍攝鯨豚,磨練出深厚的水下拍攝技能與心法。一路追尋,堅持夢想的他,足跡遍及東加王國、日本御藏島、阿根廷巴塔歌尼亞、挪威極圈,與夢幻如抹香鯨、大翅鯨、南方露脊鯨、藍鯨、虎鯨近距離接觸,並拍下牠們的美麗身影,其中包括悠游台灣海域近 30 多種的鯨豚紀錄。全書收錄超過 200 多幅珍貴影像,金磊從拍攝鯨豚中認識自己,享受過在水中與鯨豚共游的震撼奇妙感,突破了恐懼、沮喪、撞牆的內心爭戰,更體悟到自己與自然之間的關係。透過過往生命歷程的反思,他在書中娓娓道出一張張令人驚奇影像的背後故事,那一段段的海洋冒險,難忘的神奇旅程,亦是他為邁入第 30 個鯨豚拍攝生涯所做的一次深度回顧。
⠀⠀⠀⠀⠀
⠀⠀⠀⠀⠀
⠀⠀⠀⠀⠀
(以上文字部分自出版社書介。)
安謀面試 在 Facebook 的最讚貼文
扭轉乾坤! 【關聖帝君聖誕】財神贈言
今天是義財神『關聖帝君聖誕』,老師今天也不例外,來到了財神廟,要幫粉絲們祈福、求財、補財庫。還特別請財神贈言,現在就快來測試看看財神要給你帶來什麼好運。請默念一個你最想知道的問題,以第一直覺選一隻財神燈,看看會獲得什麼樣的贈言提醒。心誠則靈。開運,就問艾菲爾。也別忘了提醒朋友丶家人,看看財神會給什麼贈言提醒。
A、第90首
B、第60首
C、第78首
D、第09首
E、第95首
。
。
。
。
。
。
。
選
好
看
答
案
。
。
。
。
。
。
。
A、第90首
【中平】
崆峒城裡事如麻。無事如君有幾家。
勸汝不須勤致禱。徒勞生事苦諮嘆。
詩詞寓意:縣城裡家家戶戶忙得不得閒,像你這樣無事的還真的沒幾家。命中無大富大貴之命,就無需費心求神。只是為自己徒增多事與苦嘆。
求財:不須強求,知足常樂,目前守財才是重點,慎防小人。
求工作:以退為進,以靜制動。不要想隨意轉工。
B、第60首
【上上】
羨君兄弟好名聲。只管謙㧑莫自矜。
丹詔槐黃相逼近。巍巍科甲兩同登。
詩詞寓意:你有著人人都稱羨的好名聲,做人處事圓融滑順,一點都不驕傲自大,使得你身邊的人都對你讚譽有加,也都很誠心的跟你做朋友,當朋友有困難時,你也會伸出援手拉他一把,兩人一起只會好上加好。猶如丹詔之榮,槐黃之喜,最晚秋天就會傳來好消息。
求財:目前正是你走運之時,不管是正偏財都能手到擒來,但要注意才不露白,有錢時也不要出手太大方,小心身邊黃鼠狼。
求職:目前如果正在找工作的人,近期能有好消息,你在面試時要得體、有理,儀態也要注意,將自己維持在最佳狀態,盡力展現自己的優點,就能獲得賞識。
C、第78首
【下平】
家道豐腴自飽溫、也須肚裡立乾坤、
財多害己君當省、福有胚胎禍有門。
詩詞寓意:你是個衣食無虞、每餐都能吃飽的人,而在此時更要充實你的心靈及內涵,不可徒有金玉其外的外在,有些時候,過多的財富反而會害到自己,使自己成為別人眼中的肥羊。請記住,好運壞運,皆有各自的因果業障。
求財:目前正是財富豐沛之時,要懂得節制守財,財不露白,不要引起別人覬覦。
求職:想在職場上更上一層樓,你必須多多充實自己的專業技能,專長越多越有利發展事業。
D、第09首
【大吉】
望渠消息向長安、常把菱花仔細看、
見說文書將入境、今朝喜色上眉端。
詩詞寓意:你心中有一件重要的事,每天心心念念、殷殷期盼能有好消息傳來。天天向窗外望,看看是不是有人來傳遞好消息,如今總算能獲得好消息,令人喜不自勝。
求財:在百日內能得不錯的投資報酬,讓你很是開心,但是如果開心過頭,不懂得見好就收,恐怕落得空歡喜一場喔。忌貪心。
求職:你已經期盼能找到一份穩定又理想的好工作很久了,不用太心急,在百日內會有好消息在等著你。這期間還是不能鬆懈喔。
E、第95首
【中吉】
知君袖內有驪珠。生不逢辰亦強圖。
可嘆頭顱已如許。而今方得貴人扶。
詩詞寓意:在這個時運不佳的大環境中,你的手上還握有籌碼,雖然條件不是非常好,但可退而求其次,先求有,再求好。目前可能有合約被套牢,或是官司的問題纏身,想要解決目前的困境,需要有貴人相助,才能順利脫身。時運不佳時,可以勤行善積福報,當好運來時,才會臨到你。
求財:目前工作上有瓶頸,但是所幸你的資質不差,趕快另謀他職。
求職:想找到好工作,可以問問身邊的朋友、親人,有沒有可以介紹喔。
安謀面試 在 高松傑高Sir正能量 Youtube 的精選貼文
【陰謀論】刻意安排周庭612出獄 ?禍從口出 拜登派人掌摑馬克龍 ? 香港小姐2021 佳麗勇氣可嘉 ? 成功爭取港府改制禁港獨電影上映! 港台亂象完結 下步商台? |高Sir正能量11062021
港台人事進出有問題?
http://www.takungpao.com/opinion/233119/2021/0611/595497.html
港府修訂電影檢查員指引 影片若構成危害國家安全或禁上映
又成功一仗,各位加油?
https://hk.on.cc/hk/bkn/cnt/news/20210611/bkn-20210611102809127-0611_00822_001.html
#小市民憑良心做實事講真相
#小小人物做小事
https://twitter.com/Sir38651475/status/1403197356154101764?s=19
YT技術限流和自動退粉,離封台不遠,請幫忙高Sir正能量?訂閱?分享影片救亡:https://www.youtube.com/jackyko1109kosir?sub_confirmation=1
————————————————————
#HongKongNews
#現代版雷鋒
#小市民憑良心
#做實事講真相
#支持國安法
#男人幫大聯盟
#KOL100
#青年快閃社區清潔大行動
#人間記者會
#青年護旗手
——————————————————
五星正能量? 真係爆哂燈?
高Sir疑遭技術限流,請大家幫幫手:
1. 訂閱「高松傑 - 高Sir正能量」youtube 頻道 (訂閱係免費架) https://www.youtube.com/jackyko1109kosir?sub_confirmation=1 ;
2. 撳?搶先睇;
3. 贊好並留言支持;
4. 將影片分享開去;
5. 關注好高Sir微博/抖音: https://weibo.com/jackyko1109
https://v.douyin.com/Jgbqjor/
/https://twitter.com/Sir38651475
安謀面試 在 鄭匡宇激勵達人 Youtube 的最佳解答
沒有人喜歡失業,
但失業的情形常常發生,
要嘛發生在我們自己身上,
再不然就是發生在周遭親友身上。
面對失業,
有人一蹶不振,
開始怨天尤人;
也有人峰迴路轉,
創造了事業上的高峰。
我認為,
就算無法峰迴路轉再登高峰,
至少也不要讓自己意志消沉、鬱鬱寡歡。
洪雪珍老師的《失業教我們的事》,
就是一本讓我們認清現實,
並及早擘畫圖謀的人生困境突圍攻略。
而我跟大家分享幾個我看到的重要觀點及見解,
分別是…..
🎉喜歡這部影片的朋友,記得訂閱我們的YT頻道【鄭匡宇激勵達人】哦
🎉記得分享+按讚+留言,將在YT與FB中抽出一位朋友把洪雪珍老師的《失業教我們的事》送給你哦
➡youtube頻道:https://www.youtube.com/KuangYuCheng
➡FB粉絲專頁:https://www.facebook.com/powerintalk/
↓↓↓podcast上線,搜尋【隨時來點正能量】給我們五顆星哦
蘋果:https://apple.co/3529MdS
安卓:https://soundcloud.com/motivateyou
安謀面試 在 暗網仔出街 Youtube 的最讚貼文
Instagram: https://www.instagram.com/dw_kid12/
Facebook: https://www.facebook.com/deepwebkid/?modal=admin_todo_tour
訂閱: https://www.youtube.com/channel/UCKC6E5s6CMT5sVBInKBbPDQ?sub_confirmation=1
暗網? 陰謀論?: https://www.youtube.com/watch?v=W5RVLpFkAKQ&list=PLGzW5EwcApFuqKoowMHS9v8W34vIPyrtk
鬼故事: https://www.youtube.com/watch?v=H4rmkFI1ik0&list=PLglqLngY6gv5BCwaoP-q6DOwUmw1lIusF
我的100K成長故事: https://www.youtube.com/watch?v=Kdhtp6A6YJE
破解Kate yup事件是假的! 不是綁架! 不要被騙! (Facebook上的證據): https://www.youtube.com/watch?v=2NJVt56ORWo&t=2s
曼德拉效應: https://www.youtube.com/watch?v=OMutzRIE_uE&list=PLglqLngY6gv5BCwaoP-q6DOwUmw1lIusF&index=17&t=5s
深刻個人經歷: https://www.youtube.com/watch?v=4Roa6Vs1qWc&list=PLglqLngY6gv4mm_doLUUJx4zq5KvLJ2VE
最恐怖揭發5種的未來科技 | 2020年版本
5種最恐怖的未來科技記錄 | 2020年版本
[預言] 五種最恐怖的未來科技發明 | 2020年版本
將來5種最恐怖的未來科技
“I’m very close to the cutting edge of AI, and it scares the living hell out of me” 科技企業巨頭Elon Musk發明了自動駕駛汽車, 目標是到火星居住, 但仍然對人工智能感到wuy guey.
2020年的年頭多災多難, 人類創造的科技能否幫助到人類未來呢?
大家好又是我暗網仔, 今天就講5個你一定不知道, 恐怖,即將採用的未來科技.
*do something with a robot here. Maybe voice maybe dance*
Quantum computing
平行時空的概念常常在電影裡出現. 無論電影或現實中, 在不同時間線存在另一個自己一直只是理論. 直到80年代物理學的Quantum computing被開拓. 理論是當一種電腦代碼能比血細胞和癌細胞更細, 代碼借來發力的能源從那裡得回來的? 因為量子能在幾不同空間同時存在, 科學新聞學者相信那些不同空間就是我們所描述的平行時空了.
其實2020年1月3號NASA Tess望遠鏡發現一個名TOI 700d的糸外行星. 遠我們101.4光年的Dorda constellation. 外型, size, 可居住度都是跟地球非常相似. 這?否居住一些跟我們非常相似但又特點不同的人類呢? “那些年” 的平行世界就是這個吧! 咸網仔也是這裡存在.
機械戰警 (未來戰士) 陰謀論
80年代公仔Robocop一定是很多人的童年回憶. 但至2013年美國保安公司Knightscope已經發明這些ADM (autonomous data machines) 在商場, 學校, 等地方wuy chi ji on.
因為ADM有長期監視和拍照功能. 推出後, 私隱人權問題被外界jut yi,
另外, ADM實際能力亦受到jut yi.
因為各ADM型號沒有自jong武器的. 所以偵測到危險人物後只能發出警報yoing附近保護人員作出拘捕. 人工合作才能成功.
最近迪拜就出現一個人型名REEM的Robocop. 恐怖是他的指示冊中有複雜如: ‘屍體入境許可證’ ‘人口販運’ 等功能. 實質什麼作用就不知道啦.
CERN god particle
大家知不知道 ‘暗網仔’ 甚至所有Youtuber最應該感激什麼平台啊? 不是Youtube. 又不是google. 而是www world wide web. 其實www是英國科學家Tim Bernese-Lee在CERN (the European organization for nuclear research) 所發明的. CERN聞名於他擁有全球最大的 粒子物理研究所. 當中LHC Large hadron collider(大型強子對撞機)因有機會撞擊時制造微型黑洞, 曾一度令各地政府感到非常擔心.
CERN操作48年, 主要目標是確認有Higgs Boson(希格斯玻色子) Gods particle. 2012年發現的Higgs提供了宇宙萬物我們周圍的一切確實被宇宙大爆炸製造出來的證據.
但亦有陰謀論家指出其實CERN設施真正目的是為了開一個能到達其他維度的portal.
大多這個觀點的猜測源自於CERN門口放置的印度神像Shiva: 毀滅之神. 為什麼要在一所科學設施的正門放這個像呢?是預言未來會被 ‘毀滅’ 嗎?
員工評分
相信很多人也害怕見工面試的過程. 雇主也對聘請不對的人會感到擔心. 所以如果招聘顧問公司Thrivemap能用你社交媒體就能給你一個評分, 那雙方也會容易得多吧!
但如果我跟你講評分的是一種名 ‘cultural fit’ 的東西而不是你的工作能力,會有點恐怖嗎?
其實大家也知道員工是否fu合整個公司的大文化是非常重要. 所謂: 識做工不如識做人. 但這個人 ‘評分’ 會否導致之後每個大機構都變成單一文化 monoculture呢?
這 ‘評分’ 機制又會否被我們人類慢慢習慣呢?
中國social credit system
之前我拍片講Netflix提過Black Mirror一集, 社會上你做什麼, 説什麼, 社交媒體上po什麼都會被評分. 2020年中國操作的社會信用體系就而 ‘信譽糸統’ 評國內每一位的人民.
一些被視為 ‘失信’ 的人民某些生活上資源被拿走的. 也挺恐怖的.
由用公共設施. (19年6月2600萬張機票和596萬張高鐵票) 被拒用.
某一些的私人學校或大學也被限制. 有指某些 ‘失信’ 人士的樣子也會大大display在一些大的公眾場合. 列如電影院.
雖然這個social credit system有機會真的能identify出誰曾經犯過罪. 或真的可以幫助網上hei ling.
As someone that lives in a foreign country, freedom of speech is important to me. And
而如果看過那集black mirror的朋友也知道可以有幾恐bo啦.
安謀面試 在 Re: [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的推薦與評價
今天HR打電話來說錄取惹大guy說明了一下薪資年薪跟我想的差不多績效獎金每年看表現發放簽約獎金3K (問過幾個在裡面的好像都這數字摳摳der ) 年終10% or 20% 底薪(看… ... <看更多>
安謀面試 在 Re: [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的推薦與評價
今天HR打電話來說錄取惹大guy說明了一下薪資年薪跟我想的差不多績效獎金每年看表現發放簽約獎金3K (問過幾個在裡面的好像都這數字摳摳der ) 年終10% or 20% 底薪(看 ... ... <看更多>
安謀面試 在 [心得] 美國ARM(安謀) AE面試心得分享- 看板Tech_Job 的推薦與評價
小弟美國某大學電機碩畢
前幾天在ARM面試AE(application engineer)的職位
----------------------------------------------------------
一開始先是有朋友推薦以及曾經在該公司做過一年實習
所以主管有先打電話來寒暄幾句跟我說明職務內容
接下來主管的主管又打來一次也是問些以前的實習經驗
阿 然後聽到我母語是中文好像有點興趣
因為ARM在中國跟台灣都有客戶 常常被要求有中文簡報
之後人資又打來問之前的實習, 研究所時的project等等
雖然是覺得人資問這些怪怪的 他也聽不懂XD
接下來就被邀請去公司面試
面試我的有5位資深工程師/經理 以下把每位的內容大略講一下
有些附上我的答案, 不一定正確XD 有些太難翻成中文就不翻了
1. 先說明職務, 之後開始問問題
DFT是什麼? 為何需要? 我: design for test, 測試晶片是否
有stuck at fault, 或是slow to rise/fall fault.
簡單說明scan chain? 我: A way to set FFs to certain state
and compare execution result with golden model.
如何在拿到晶片後測試SRAM? MBIST, 連續寫入00000/111...再檢查結果
如何降低dynamic power? 我: clk gate, multi-Vdd, DVFS, 用小一點
的gate
解釋upf? 我: uniform power format(IEEE standard) 內含voltage
domain, level shifter, power target, operation mode..blah blah
2. 一來就硬上阿不是...開始問問題XD
解釋implementation flow? 我: library set up, synthesis, power
planning, floorplanning, placement, CTS, routing, DRC/LVS, ECO, DFM
STA(static timing analysis)問題, slow corner/fast corner.
舉出不同clk tree? 我: H-tree, spine, mesh, link...
clk skew/jitter原因? 我: OCV(voltage, process, temperature)
jitter則是thermal noise, supply voltage noise
問: 若有人給你一個滿江紅的timing report, 你覺得那些地方有問題?
我: clk tree design, clk buffer, clk gate, noise, blockage, placement
這一個有點硬, STA那邊有點被洗臉
3. 邊吃飯邊聊
問問以前在公司實習做了什麼 講一些工作上如何適應
中間聊到我其實有丟google/amazon 他問:有回復嗎? 我: 沒有> <
4. 工作的主管, 一進來也是講了一大堆工作相關介紹
問: 如何減少leakage power? 我: multi-vt, power gating, reverse
body bias, stacking, header/footer, input vector control,
retention FFs.
5. 這個也是有點硬 很快打發自我介紹那些切入正題
問: synthesis tool input 有哪些? 我: physical lib, timing lib, netlist,
upf, technology file, SDC(synopsys design constraints), I/O lib,
SPEF(standard parasitic exchange format)
問: floorplanning 要做哪些動作? 我:die size, utilization, orientation,
aspect ratio, power tracks, hard macro placement, blockages, bounds, pin
pads location
問: 如何解決hold violation? 我: delay lauching flop clock, add delay
buffers to combinational logic
問: 若延遲發出端flip-flop 的 clk, 要注意什麼? 我: 若有別的線路進入發出端
flip-flop, 則該FF也可能因此有hold violation. 可能修一個壞一個
他: 好啦...問得差不多惹 拍謝問太多 你答得不錯
6. 人資小姐
問我每個人都跟我聊了啥
問: 有別的公司正在面試你嗎? 我: 沒有所以掰了個intel
問: 面試的職務? 我: 靠杯阿問那麼多... verification啦
問: 請問你能夠為公司馬上帶來什麼貢獻? 我只說了我的一些優點QQ
ok等我們面試完所有人再給你答覆 (我之外還有幾個求職者)
完全沒有提到待遇問題!!! QQ 本來還想問問有沒有85K USD/年....
目前抖抖等通知中
恩 大概就這樣惹 希望有幫到版眾或是幫大家了解一些國外面試流程
希望不要再有打下篇的機會了 QQ
--
※ 發信站: 批踢踢實業坊(ptt.cc), 來自: 72.182.43.136
※ 文章網址: https://www.ptt.cc/bbs/Tech_Job/M.1478582595.A.68E.html
但我在德州不用繳州稅 生活開銷也較低
整體算下來85是還可以啦...
我朋友名言: interview is a game
我住單人1bb 950/mo 加州不清楚
奧斯丁房價也是井噴 工作有著落要趕快買惹QQ
100K*7/10 = 70K. 70K-35K = 35K. 35K * 10/7 = 50K
因為付房租的錢是稅後的錢去付 所以剩下稅前50K收入
... <看更多>